Felipe Augusto da Silva, Ahmet Cagri Bagbaba, Said Hamdioui, Christian Sauer (2018), Use of Formal Methods for verification and optimization of Fault Lists in the scope of ISO26262, In DVCon Europe 2018 p.1-6.

X. Fu, M. A. Rol, C. C. Bultink, J. Van Someren, N. Khammassi, I. Ashraf, R. F.L. Vermeulen, J. C. De Sterke, W. J. Vlothuizen, R. N. Schouten, C. García Almudever, L. DiCarlo, K. Bertels (2017), An experimental microarchitecture for a superconducting qantum processor, In MICRO 2017 - 50th Annual IEEE/ACM International Symposium on Microarchitecture Proceedings Volume Part F131207 p.813-825, IEEE.

Nauman Ahmed, Hamid Mushtaq, Koen Bertels, Zaid Al-Ars (2017), GPU Accelerated API for Alignment of Genomics Sequencing Data, X. Hu, C.R. Shyu, Y. Bromberg, J. Gao, Y. Gong, D. Korkin, I. Yoo, J.H. Zheng (Eds.), In 2017 IEEE International Conference on Bioinformatics and Biomedicine (BIBM) p.510-515, IEEE.

Shanshan Ren, Koen Bertels, Zaid Al-Ars (2017), GPU-Accelerated GATK HaplotypeCaller with Load-Balanced Multi-Process Optimization, In 2017 IEEE 17th International Conference on BioInformatics and BioEngineering (BIBE) p.497-502, IEEE.

Said Hamdioui, Shahar Kvatinsky, Gert Cauwenberghs, Lei Xie, Nimrod Wald, Siddharth Joshi, Hesham Mostafa Elsayed, Henk Corporaal, Koen Bertels (2017), Memristor For Computing: Myth or Reality?, In Proceedings of the 2017 Design, Automation & Test in Europe Conference & Exhibition (DATE) p.722-731, IEEE.

Said Hamdioui, Mottaqiallah Taouil, Koen Bertels (2017), Memristor based computation-in-memory architecture for big data.

Hoang Anh Du Nguyen, Lei Xie, Mottaqiallah Taouil, Razvan Nane, Said Hamdioui, Koen Bertels (2017), On the Implementation of Computation-in-Memory Parallel Adder, In IEEE Transactions on Very Large Scale Integration (VLSI) Systems Volume 25 p.2206 - 2219.

Leon Riesebos, Xiang Fu, Savvas Varsamopoulos, Carmina García Almudever, Koen Bertels (2017), Pauli Frames for Quantum Computer Architectures, Robert Aitken, Zhuo Li (Eds.), In Proceedings of the 54th Annual Design Automation Conference 2017, DAC 2017 p.1-6, Association for Computing Machinery (ACM).

Nauman Ahmed, Koen Bertels, Zaid Al-Ars (2017), Predictive Genome Analysis Using Partial DNA Sequencing Data, In 2017 IEEE 17th International Conference on BioInformatics and BioEngineering (BIBE) p.119-124, IEEE.

Nader Khammassi, Imran Ashraf, Xiang Fu, Carmina García Almudever, Koen Bertels (2017), QX: A high-performance quantum computer simulation platform , In Proceedings of the 2017 Design, Automation & Test in Europe Conference & Exhibition (DATE) p.464-469, IEEE.